Welcome![Sign In][Sign Up]
Location:
Search - VGA VHDL

Search list

[VHDL-FPGA-VerilogFPGA_VGA

Description: 基于FPGA的高分辨率VGA显示控制器的设计-FPGA-based high-resolution VGA display controller design
Platform: | Size: 291840 | Author: 火冰 | Hits:

[VHDL-FPGA-VerilogVGAcontrol

Description: alter控制VGA输出VHDL源代码 使用方法: 1.拷贝到硬盘,用Quartus中新建工程,添加文件即可。-alter control VGA output VHDL source code to use: 1. copy to your hard disk, using Quartus in new construction, you can add files.
Platform: | Size: 38912 | Author: 张丽滨 | Hits:

[VHDL-FPGA-Verilogvga_vhdl

Description: VGA格式输出,可直接接VGA专用芯片.可以输出不同的颜色,位置.-VGA output format can be directly access a dedicated VGA chip. Can output a different color, location.
Platform: | Size: 1024 | Author: 王晶 | Hits:

[Other Embeded programvga

Description: 图像处理,实现一个显示器接口,实现了编码和解码 使用方法: 1.拷贝到硬盘,用ISE打开工程文件即可。
Platform: | Size: 129024 | Author: xiexiao | Hits:

[VHDL-FPGA-Verilogsopc_vedio

Description: 吐血啦,sopc-vga 接口程序源代码-Hematemesis啦, sopc-vga interface program source code
Platform: | Size: 14336 | Author: 曾工 | Hits:

[OtherVGA_Controller_IPcore

Description: VGA控制器源码,代码已经过验证,可以立即使用。-VGA controller source code, the code has already been verified, you can immediately use.
Platform: | Size: 16384 | Author: wangjianguang | Hits:

[VHDL-FPGA-VerilogVGAps2final_change

Description: 用VHDL写的一个小游戏,能够支持视频显示,对初学者有些帮助吧-Use VHDL to write a small game, able to support video display, some for beginners to help you
Platform: | Size: 620544 | Author: 陈帅 | Hits:

[Graph programvgaChars

Description: vga显示程序,3种模式,8色。行,列,网格。-vga display program, three kinds of model, color 8. Rows, columns, grid.
Platform: | Size: 97280 | Author: 苏文豪 | Hits:

[VHDL-FPGA-VerilogS6_VGA

Description: 我买的红色飓风FPGA,EP1C6开发板的配套USBA实验例程 VGA模块的程序-I bought a red hurricane FPGA, EP1C6 development board supporting VGA module USBA experimental routine procedures
Platform: | Size: 3191808 | Author: 孙建军 | Hits:

[VHDL-FPGA-VerilogUSB

Description: for xilinx spratan3 xcs100e 包括vga 和 ps2 驱动的一个演示程序 可直接下载-for xilinx spratan3 xcs100e including vga and ps2 drive a demo program can be directly downloaded
Platform: | Size: 1845248 | Author: didi | Hits:

[Graph programcount1

Description: EDA实验--VGA计数实验:本实验由RESET健清0,PSW1健控制预制数,按下置入1234,PSW2控制正逆计数,按下递减计数,弹起正向计数。利用VGA作为输出设备,显示计数值,编辑源程序,观察实验结果。-EDA Experimental VGA counting experiment: This experiment was conducted by RESET Kin-ching 0, PSW1 prefabricated Kin control number, pressed into 1234, PSW2 control is against the count, press the reduced count, count up positive. The use of VGA as output device, shows the value, edit the source code to observe the experiment results.
Platform: | Size: 3072 | Author: 黄龙 | Hits:

[VHDL-FPGA-Verilogvga_display

Description: 关于VGA显示的vhdl源代码,符合vga的相关时序,是您的重要参考。-VGA display on the VHDL source code, in line with the relevant vga timing is an important reference for you.
Platform: | Size: 293888 | Author: wangyd | Hits:

[VHDL-FPGA-VerilogVGAqudong

Description: 基于FPGA的VGA显示驱动源码~适合哪些想要开发VGA接口驱动的朋友-FPGA-based VGA display driver source code ~ for which you want to develop VGA interface driver friend
Platform: | Size: 1024 | Author: 杨之皓 | Hits:

[VHDL-FPGA-Verilogpingpang

Description: 一个用verilog写的简单的乒乓球程序,用来在VGA上显示小球和挡板-Using Verilog to write a simple table procedures, used in the VGA display of small ball and baffle
Platform: | Size: 2048 | Author: kljlj | Hits:

[VHDL-FPGA-Verilogexample

Description: 几个基本的VHDL例子,包括VGA显示,鼠标键盘PS2接口,数码管等。在XILINX板子上均可运行-Several basic VHDL examples, including VGA display, mouse, PS2 keyboard interface, digital tubes, and so on. XILINX board may be in the running
Platform: | Size: 2675712 | Author: kljlj | Hits:

[VHDL-FPGA-VerilogVGA_verilogHDL_VHDLcode

Description: VGA verilogHDL /VHDL 实现-VGA verilogHDL/VHDL to achieve
Platform: | Size: 131072 | Author: lin | Hits:

[VHDL-FPGA-Verilogvga_test

Description: vga显示源码,可供vga测试,且具有800*600及640*480两种解析度。-vga show source for vga test, and has a 800* 600 and two 640* 480 resolution.
Platform: | Size: 12288 | Author: shiuny | Hits:

[VHDL-FPGA-Verilogballgame

Description: 用VHDL语言编写的弹球游戏,控制挡板接住在屏幕上反弹的小球。 显示输出为标准VGA信号,可直接连接VGA显示器。 可用QuartusII软件下载到FPGA中进行实现。-Using VHDL language pinball game, control baffle bounce on the screen to catch the ball. Show the output as a standard VGA signal, can be directly connected to VGA displays. QuartusII available in software downloaded to the FPGA to realize.
Platform: | Size: 3072 | Author: Guo Deyuan | Hits:

[ARM-PowerPC-ColdFire-MIPSrong

Description: 一个IIC总线的代码,一个VGA显示文字代码,目前VGA可以显示彩条,但是现实文字较少,一个本科学生兰编写,还不错,共享下,大家参考,IIC编写的比较经典,可以 学习怎么写一个处理器的外设控制器。-IIC bus is a code, a VGA display text code, the current color VGA displays, but less realistic characters, a lan to prepare undergraduate students, but also good to share, the U.S. reference, IIC comparison prepared classics, can learn how to write a processor peripheral controller.
Platform: | Size: 1773568 | Author: rong | Hits:

[Graph program61EDA_D129

Description: vga 显示 完全硬件语言 大家可以学习学习-vga display complete hardware language everyone can learn to learn
Platform: | Size: 2048 | Author: yec | Hits:
« 1 2 3 45 6 7 8 9 10 ... 28 »

CodeBus www.codebus.net